Your Browser is Not Supported
To ensure jobstore run smoothly, please use the latest version of the following supported browsers:
About Oshkosh AeroTech, an Oshkosh company
Oshkosh AeroTech is a leading provider of aviation ground support products, gate equipment and airport services to commercial airlines, airports, air-freight carriers, ground handling and military customers. Oshkosh AeroTech offers products that make a difference in people’s lives by supporting those in our communities who do some of the toughest work. They own many of the most trusted brands in the air transportation industry, including LEKTRO®, JetAire®, JetPower®, AmpTekÔ, Jetway®, and more.
The Senior Hydraulic/Fluid Power Engineer will work closely with Management, Engineers, and Designers to prepare and lead designs of a complex nature. The Senior Hydraulic Engineer will be responsible for designing hydraulic systems for heavy mobile equipment to meet the requirements for customer options of current production and/or new product development. The Senior Hydraulic Engineer performs complex analysis of designs and prepares supporting documentation. The Senior Hydraulic Engineer plans, develops, coordinates and communicates schedules of projects, and works with other departments and personnel at all levels to complete assigned tasks.
Additional Training:
Specialized Knowledge, Equipment, and Applications:
Oshkosh is committed to working with and offering reasonable accommodations to job applicants with disabilities. If you need assistance or an accommodation due to a disability for any part of the recruitment process, please contact our reception desk by phone at +1 (920) 502.3009 or our talent acquisition team by email corporatetalentacquisition@oshkoshcorp.com.
Oshkosh Corporation is an Equal Opportunity and Affirmative Action Employer. This company will provide equal opportunity to all individuals without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or veteran status. Information collected regarding categories as provided by law will in no way affect the decision regarding an employment application.
Oshkosh Corporation will not discharge or in any manner discriminate against employees or applicants because they have inquired about, discussed, or disclosed their own pay or the pay of another employee or applicant. However, employees who have access to the compensation information of other employees or applicants as a part of their essential job functions cannot disclose the pay of other employees or applicants to individuals who do not otherwise have access to compensation information, unless the disclosure is (a) in response to a formal complaint or charge, (b) in furtherance of an investigation, proceeding, hearing, or action, including an investigation conducted by the employer, or (c) consistent with Oshkosh Corporation's legal duty to furnish information.
Certain positions with Oshkosh Corporation require access to controlled goods and technologies subject to the International Traffic in Arms Regulations or the Export Administration Regulations. Applicants for these positions may need to be "U.S. Persons," as defined in these regulations. Generally, a "U.S. Person" is a U.S. citizen, lawful permanent resident, or an individual who has been admitted as a refugee or granted asylum.
Official account of Jobstore.
About McNeilus, an Oshkosh Company
McNeilus Truck and Manufacturing Inc. is committed to serving everyday heroes and is an industry leading designer and manufacturer of refuse truck bodies. McNeilus produces a complete line of high-performance rear load, front load, and automated side load refuse bodies to augment any refuse collection fleet. Our team members are critical in our mission of supporting waste warriors and keeping communities safe and clean.
The Senior Manufacturing Engineer will lead the daily support and process enhancements within the product value stream at the Dodge Center facility. You are tasked with implementing standardization, creating stability and driving continuous improvement initiatives geared towards McNeilus’ key performance indicators (Safety, Quality, and Cost).
YOUR IMPACT
MINIMUM QUALIFICATIONS
STANDOUT QUALIFICATIONS
WHY OSHKOSH
Moving the future forward is our priority and this includes your future. We encourage professional development and champion our employees’ success through various skills and training opportunities.
We put people first. We do the right thing. We persevere. We are better together.
Oshkosh is committed to working with and offering reasonable accommodations to job applicants with disabilities. If you need assistance or an accommodation due to a disability for any part of the recruitment process, please contact our reception desk by phone at +1 (920) 502.3009 or our talent acquisition team by email corporatetalentacquisition@oshkoshcorp.com.
Oshkosh Corporation is an Equal Opportunity and Affirmative Action Employer. This company will provide equal opportunity to all individuals without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or veteran status. Information collected regarding categories as provided by law will in no way affect the decision regarding an employment application.
Oshkosh Corporation will not discharge or in any manner discriminate against employees or applicants because they have inquired about, discussed, or disclosed their own pay or the pay of another employee or applicant. However, employees who have access to the compensation information of other employees or applicants as a part of their essential job functions cannot disclose the pay of other employees or applicants to individuals who do not otherwise have access to compensation information, unless the disclosure is (a) in response to a formal complaint or charge, (b) in furtherance of an investigation, proceeding, hearing, or action, including an investigation conducted by the employer, or (c) consistent with Oshkosh Corporation's legal duty to furnish information.
Certain positions with Oshkosh Corporation require access to controlled goods and technologies subject to the International Traffic in Arms Regulations or the Export Administration Regulations. Applicants for these positions may need to be "U.S. Persons," as defined in these regulations. Generally, a "U.S. Person" is a U.S. citizen, lawful permanent resident, or an individual who has been admitted as a refugee or granted asylum.
Official account of Jobstore.
At Oshkosh, we build, serve and protect people and communities around the world by designing and manufacturing some of the toughest specialty trucks and access equipment. We employ over 17,000 team members all united by a common purpose. Our engineering and product innovation help keep soldiers and firefighters safe, is critical in building and keeping communities clean and helps people do their jobs every day.
The Digital Workplace team is focused on enhancing the end user experience though the use of technology. The Digital Workplace Engineer is responsible for management of collaboration technologies and integrations with adjacent technologies. The Digital Workplace Engineer is expected to be a subject matter expert in multiple systems as well as the creation of a larger set of repeatable reference architectures for use by additional delivery teams.
THE ROLE:
YOUR IMPACT:
YOUR SKILLS AND QUALIFICATIONS:
STANDOUT QUALIFICATIONS:
Oshkosh is committed to working with and offering reasonable accommodations to job applicants with disabilities. If you need assistance or an accommodation due to a disability for any part of the recruitment process, please contact our reception desk by phone at +1 (920) 502.3009 or our talent acquisition team by email corporatetalentacquisition@oshkoshcorp.com.
Oshkosh Corporation is an Equal Opportunity and Affirmative Action Employer. This company will provide equal opportunity to all individuals without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or veteran status. Information collected regarding categories as provided by law will in no way affect the decision regarding an employment application.
Oshkosh Corporation will not discharge or in any manner discriminate against employees or applicants because they have inquired about, discussed, or disclosed their own pay or the pay of another employee or applicant. However, employees who have access to the compensation information of other employees or applicants as a part of their essential job functions cannot disclose the pay of other employees or applicants to individuals who do not otherwise have access to compensation information, unless the disclosure is (a) in response to a formal complaint or charge, (b) in furtherance of an investigation, proceeding, hearing, or action, including an investigation conducted by the employer, or (c) consistent with Oshkosh Corporation's legal duty to furnish information.
Certain positions with Oshkosh Corporation require access to controlled goods and technologies subject to the International Traffic in Arms Regulations or the Export Administration Regulations. Applicants for these positions may need to be "U.S. Persons," as defined in these regulations. Generally, a "U.S. Person" is a U.S. citizen, lawful permanent resident, or an individual who has been admitted as a refugee or granted asylum.
Official account of Jobstore.
About Pierce, an Oshkosh company
At Pierce Manufacturing, we build trucks that protect people and communities around the world. To our team, there is no room for anything less than providing the absolute best. Since 1913, building truck bodies on Model T Ford chassis in an old converted church has evolved to creating highly customized, carefully designed and engineering pumpers, aerials, tankers and rescue units that have no equal. Today, you'll find more than 30,000 Pierce apparatuses on the road that are making a difference in people's lives, every day. To us, every step in the process of building our trucks is personal. From innovation and expertise, to the customization and service. Our trucks are designed to perform under the toughest conditions.
Senior Engineer - Electrical R25936
The Sr Engineer – Electrical designs functional, reliable and cost-effective heavy-duty vehicle high voltage electrical systems. Performs engineering analysis and electrical design or re-design, and development of electrical systems and subsystems into existing and new vehicles. Provides integration and execution support for vehicle control and embedded systems development.
YOUR IMPACT:
MINIMUM QUALIFICATIONS:
STANDOUT QUALIFICATIONS:
CORE COMPETENCIES:
WORKING CONDITIONS:
WHY PIERCE?
Moving the future forward is our priority and this includes your future. We encourage professional development and champion our employees’ success through various skills and training opportunities. Named one of the World’s Most Ethical Companies™ by Ethisphere Institute for six consecutive years, everything we do at Oshkosh is guided by our core values and the 15k+ team members around the world who embody them.
We put people first. We do the right thing. We persevere. We are better together.
We offer our employees an outstanding range of benefits, including:
Visit our Glassdoor Profile
Keep up with us on LinkedIn
*OSH1917
#LI-BB1
Oshkosh is committed to working with and offering reasonable accommodations to job applicants with disabilities. If you need assistance or an accommodation due to a disability for any part of the recruitment process, please contact our reception desk by phone at +1 (920) 502.3009 or our talent acquisition team by email corporatetalentacquisition@oshkoshcorp.com.
Oshkosh Corporation is an Equal Opportunity and Affirmative Action Employer. This company will provide equal opportunity to all individuals without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or veteran status. Information collected regarding categories as provided by law will in no way affect the decision regarding an employment application.
Oshkosh Corporation will not discharge or in any manner discriminate against employees or applicants because they have inquired about, discussed, or disclosed their own pay or the pay of another employee or applicant. However, employees who have access to the compensation information of other employees or applicants as a part of their essential job functions cannot disclose the pay of other employees or applicants to individuals who do not otherwise have access to compensation information, unless the disclosure is (a) in response to a formal complaint or charge, (b) in furtherance of an investigation, proceeding, hearing, or action, including an investigation conducted by the employer, or (c) consistent with Oshkosh Corporation's legal duty to furnish information.
Certain positions with Oshkosh Corporation require access to controlled goods and technologies subject to the International Traffic in Arms Regulations or the Export Administration Regulations. Applicants for these positions may need to be "U.S. Persons," as defined in these regulations. Generally, a "U.S. Person" is a U.S. citizen, lawful permanent resident, or an individual who has been admitted as a refugee or granted asylum.
Official account of Jobstore.
About JLG, an Oshkosh company
JLG began in 1969, when our founder, John L. Grove set out to resolve growing safety concerns in the construction industry. Since then we have been committed to understanding the challenges and delivering innovative solutions to the access market. We partner with customers to provide quality equipment, training opportunities and trusted support within the access industry. We are a global company, and our products—including mobile elevating work platforms, telehandlers, utility vehicles and accessories—can be found all over the world.
JOB SUMMARY:
As a key member in the Data Science team, the Data Engineer will work with a cross functional team to develop and execute the data analytics strategy and apply to Oshkosh Corporation products. Advanced Data Analytics work encompasses the acquisition, processing and machine learning of engineering or service data and application to preventive maintenance and intelligent control of on or off-road vehicles.
ESSENTIAL DUTIES AND RESPONSIBILITIES:
These duties are not meant to be all-inclusive and other duties may be assigned.
MINIMUM QUALIFICATIONS:
PREFERRED QUALIFICATIONS:
#LI-AG1
Oshkosh is committed to working with and offering reasonable accommodations to job applicants with disabilities. If you need assistance or an accommodation due to a disability for any part of the recruitment process, please contact our reception desk by phone at +1 (920) 502.3009 or our talent acquisition team by email corporatetalentacquisition@oshkoshcorp.com.
Oshkosh Corporation is an Equal Opportunity and Affirmative Action Employer. This company will provide equal opportunity to all individuals without regard to race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or veteran status. Information collected regarding categories as provided by law will in no way affect the decision regarding an employment application.
Oshkosh Corporation will not discharge or in any manner discriminate against employees or applicants because they have inquired about, discussed, or disclosed their own pay or the pay of another employee or applicant. However, employees who have access to the compensation information of other employees or applicants as a part of their essential job functions cannot disclose the pay of other employees or applicants to individuals who do not otherwise have access to compensation information, unless the disclosure is (a) in response to a formal complaint or charge, (b) in furtherance of an investigation, proceeding, hearing, or action, including an investigation conducted by the employer, or (c) consistent with Oshkosh Corporation's legal duty to furnish information.
Certain positions with Oshkosh Corporation require access to controlled goods and technologies subject to the International Traffic in Arms Regulations or the Export Administration Regulations. Applicants for these positions may need to be "U.S. Persons," as defined in these regulations. Generally, a "U.S. Person" is a U.S. citizen, lawful permanent resident, or an individual who has been admitted as a refugee or granted asylum.
Official account of Jobstore.
We are now looking for a Data Engineer to help build data pipelines at scale for Autonomous Vehicles. NVIDIA is hiring senior data engineers to develop and scale its AI and deep learning platforms with a focus on building pipelines to process PB scale data for Autonomous Vehicles.
What you'll be doing:
Design, build, and maintain high-performance streaming and batch data pipelines using Kafka and related technologies
Design and build components of PB sized scalable data lake and structured/unstructured data query interfaces and microservices to ingest, index, mine, transform, and compose large datasets
Build and implement support for versioned, traceable, and immutable datasets in a data lake in a distributed and scalable manner
Hands-on writing of high quality code, good design & architecture, fully tested and peer reviewed
Partner with our other engineering and product teams to solve data modeling, data heterogeneity and data quality issues at scale
Automate everything for measuring, testing, updating, monitoring and alerting the data platform
What we need to see:
Bachelor's or Master’s in a quantitative field (e.g. Statistics, Computer Science, Business Analytics, Data Science, Economics or other relevant field) or equivalent experience
5+ years of proven experience in building distributed batch and streaming pipelines, Data Lake/ Lake House ecosystem, backend microservices architecture, and heterogeneous data types at scale
You have extensive hands-on experience in building scalable data platforms and reliable data pipelines using technologies such as Spark, ElasticSearch, Databricks, Clickhouse, AWS Kinesis, and/or Kafka
You are proficient in at least one primary language (e.g., Java, Scala, Python, Golang) and SQL (any variant)
Having hands on experience about transport and API protocols such gRPC or GraphQL, working with data formats such as Protocol Buffers, Avro etc is a must
Experience with orchestration and execution engines like Airflow, Temporal, Dagster for building durable pipelines with an emphasis on deployments and monitoring
You have familiarity with databases and analytics technologies in the industry, including Data Lakes, Lakehouse ETLs, Datamesh and Relational Databases
Excellent written and verbal communication skills
Ways to stand out from the crowd:
Advanced programming expertise in Scala
Experience with Kubernetes and Docker
Enthusiasm to collaborate and build supporting development infrastructure like CI/CD and DevOps
A go getter with an inquisitive desire to dive deeper and understand technical requirements
With highly competitive salaries and a comprehensive benefits package, NVIDIA is widely considered to be one of the technology industry's most desirable employers. We have some of the most experienced and talented people in the world working with us and our engineering teams are growing fast in some of the hottest state of the art fields: Deep Learning, Artificial Intelligence, and Autonomous Vehicles. If you're a creative and autonomous computer scientist with a real passion for distributed systems and parallel computing, we want to hear from you!
The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
Site Reliability Engineering (SRE) is an engineering discipline that involves designing, building, and maintaining large-scale production systems with high efficiency and availability. It encompasses various areas, including software and systems engineering practices, storage, data management, and services. SRE professionals are highly specialized and possess expertise in different domains such as systems, networking, storage, coding, database management, capacity management, continuous delivery, and deployment, as well as open-source cloud-enabling technologies like Kubernetes, containers, and virtualization. Their responsibilities encompass ensuring reliable storage solutions, managing data efficiently, and providing related services to support the overall stability and performance of the production systems.
SRE at NVIDIA ensures that our internal and external facing GPU cloud services have reliability and uptime as promised to the users and at the same time enables developers to make changes to the existing system through careful preparation and planning while keeping an eye on capacity, latency, and performance. SRE is also a mindset and a set of engineering approaches to running better production systems and optimizations. Much of our software development focuses on eliminating manual work through automation, performance tuning, and growing the efficiency of production systems. As SREs are responsible for the big picture of how our systems relate to each other, we use a breadth of tools and approaches to tackle a broad spectrum of problems. Practices such as limiting time spent on reactive operational work, blameless postmortems, and proactive identification of potential outages factor into iterative improvement that is key to product quality and interesting and dynamic day-to-day work. SRE's culture of diversity, intellectual curiosity, problem-solving, and openness is important to its success. Our organization brings together people with a wide variety of backgrounds, experiences, and perspectives. We encourage them to collaborate, think big, and take risks in a blame-free environment. We promote self-direction to work on meaningful projects while striving to build an environment that provides the support and mentorship needed to learn and grow.
What You Will Be Doing:
Assist in the design, implementation, and support of large-scale storage clusters, including monitoring, logging, and alerting.
Work with AI/ML workloads to capture and correlate behavior in large clusters and workflows, which are otherwise hard to understand.
Work closely with peers on the team to improve the lifecycle of services – from inception and design, through deployment, operation, and refinement.
Support services before they go live through activities such as system design consulting, developing software and frameworks, capacity management, and launch reviews.
Maintain services once they are live by measuring and monitoring availability, latency, and overall system health, including leveraging machine learning models.
Scale systems sustainably through mechanisms like AI/ML and automation, and evolve systems by pushing for changes that improve reliability and velocity.
Practice sustainable incident response and blameless postmortems.
Be part of an on-call rotation to support production systems.
What We Need To See:
BS degree in Computer Science or related technical field involving coding (e.g., physics or mathematics) or equivalent experience.
At least 5+ years practical experience.
Experience with algorithms, data structures, complexity analysis, software design, and maintaining large-scale Linux-based systems.
Experience in one or more of the following: C/C++, Java, Python, Go, Perl or Ruby, AI/ML frameworks and methodologies.
Good knowledge of infrastructure configuration management tools like Ansible, Chef, Puppet, and Terraform.
Experience in using observability and tracing-related tools like InfluxDB, Prometheus, and Elastic stack.
Ways to stand out from the crowd:
Demonstrated experience in having SRE mindset, customer-first approach, and focus on customer satisfaction and passion for ensuring customer success.Experience with Git, code review, pipelines, and CI/CD.
Interest in crafting, analyzing, and fixing large-scale distributed systems. Strong debugging skills with a systematic problem-solving approach to identify complex problems.
Thrive in collaborative environments and enjoy working with various teams. Experience in using or running large private and public cloud systems based on Kubernetes, OpenStack, and Docker. Flexible in adapting to different working styles.
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and talented people on the planet working for us. If you're creative and autonomous, we want to hear from you!
The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to pursue, that only we can tackle, and that matter to the world. This is our life’s work, to amplify human creativity and intelligence. Make the choice to join us today.
The NVIDIA Clocks group is looking for a top ASIC Methodology engineer with proven experience in high-speed logic design and verification. In order to support high frequency clock domains, the complexity of clocking structure has increased significantly. Modern clocking design needs to balance high frequency clocks with power, DFT, noise, circuit and physical design constraints.
What you'll be doing:
Develop Clock RTL generation and distribution tools for the next generation NVIDIA projects.
Collaborate with the Clocks, unit, post-silicon and backend teams to understand the current concerns with Clocking and come up with solutions for supporting high speed Clocking.
Understand the physical aspects of the chip and develop better clock distribution techniques.
Improve Power, Performance, and Area (PPA) of state-of-the-art NVIDIA GPUs and Mobile SOCs.
Contribute to new design implementation flows.
What we need to see:
BS or MS (preferred) degree or equivalent experience in CE or EE
At least 3+ years of work experience.
Validated strong coding skills in C++ or other industry-standard scripting languages.
Deep understanding of logic optimization techniques and PPA trade-offs.
Excellent problem solving and debugging skills.
Strong interpersonal and collaboration skills are required.
Ways to stand out from the crowd:
Prior experience in RTL design (Verilog), verification and synthesis.
Proficiency in C++, Perl, Python, Make scripting.
With competitive salaries and a generous benefits package, NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most brilliant people in the world working for us and, due to unprecedented growth, our teams are rapidly growing. Are you passionate about becoming a part of a best-in-class team supporting the latest in GPU and AI technology? If so, we want to hear from you.
You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA is seeking best-in-class Senior SoC ASIC Verification Engineer to verify the world's most powerful SoCs with AI capabilities for self-driving cars, gaming consoles & other automated machines (see NVIDIA Grace CPU and Arm Architecture | NVIDIA). NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to pursue, that only we can take on, and that matter to the world. We have crafted a team of excellent people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
What you'll be doing:
Work with some of the best engineers to define verification strategies and execute plans at full chip level.
Build and continuously reform verification infrastructure and methodologies to meet the demands of next generation SoCs.
Work with architects, RTL designers, FPGA, emulation engineers to ensure that verification requirements are met for each project.
What we need to see:
Bachelors Degree in EE, CS or CE or equivalent experience.
8+ years of relevant experience in ASIC DV.
Exceptional analytical skills and problem solving skills.
Experience in crafting testbenches, methodologies for large and complex chips.
Deep understanding of verification concepts.
Proficiency with at least one of these - C++, Object Oriented Programming, UVM, System Verilog.
Familiarity with verification challenges in large scale designs.
Solid understanding of basic concepts of CPU or SoC architecture.
Experience with verification of SoCs with embedded processors or CPU verification.
Ways to stand out from the crowd:
Ability to handle complex and hard-do-solve problems in programming and verification.
Ambitious and dedicated with strong communication and interpersonal skills.
With competitive salaries and a generous benefits package, we are widely considered to be one of the tech's most desirable employers. We have some of the most forward-thinking and hardworking employees in the world driving our unprecedented growth. Are you a creative and autonomous design verification engineer with a real passion for processor technology and pushing barriers? If so, we want to hear from you!
The base salary range is 164,000 USD - 356,500 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior Verification Engineer for Memory Subsystem.
NVIDIA is seeking outstanding ASIC Verification Engineer to verify the world’s leading GPUs. This position offers the opportunity to have a real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of outstanding people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
What you’ll be doing:
As a senior member of our verification team, you'll understand the design & implementation with focus on memory subsystem, define the verification scope, develop the verification infrastructure (Testbenches, BFMs, Checkers, Monitors), implement test/coverage plans, and verify the correctness of the design.
Collaborate with architects, designers, software engineers across sites to accomplish your goals.
Plan and work on strategic direction of the methodology for the testbench with advance methodology.
What we need to see:
You have Bachelors or Masters Degree in Electrical Engineering or Computer Science or Computer Engineering or equivalent experience
5+ years of relevant work or research experience
Exposure to Computer Architecture, ASIC design and verification methodology is required
Strong ability with SystemVerilog, C and/or C++, test planning, coverage closure, and creating reusable verification components.
Knowledgeable in constrained random testing with functional coverage and assertion-based verification.
Understanding of object oriented programming concepts.
Exposure to simulation tools like VCS, IES and debug tools like Debussy, GDB.
Strong interpersonal skills.
Good debugging and problem solving skills.
Ways to stand out from the crowd:
Understanding of memory subsystem micro-architecture, cache topologies and policies, memory management, interconnects, and/or arbiter designs is a huge plus.
Experience with Universal Verification Methodology (UVM), SystemVerilog checkers and scoreboards. Assertion-based verification, Semiformal Verification (SFV).
Perl or Python knowledge.
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. Are you creative and autonomous? Do you love the challenge of crafting the highest performance & lowest power silicon possible? If so, we want to hear from you. Come join our GPU ASIC Verification team and help us build future architectures that will continue to drive us forward in the fields of High Performance Computing, Graphics and AI.
The base salary range is 128,000 USD - 201,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior CPU Verification Engineer.
We are currently seeking a Senior Verification Engineer with strong CPU and verification fundamentals to work in NVIDIA's CPU team. This position offers the opportunity to have real impact in a progressive, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of outstanding people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
What You'll Be Doing:
As a member of the CPU verification team, you will be responsible for verifying the ASIC design, architecture and micro-architecture of NVIDIA CPUs and SoCs using sophisticated verification methodologies.
You are encouraged to understand the design and its implementation, define the verification scope, develop the testplans and coordinate tasks within the CPU and GPU verification teams.
Design and develop test generators that will verify and validate the CPU and GPU interactions, memory coherency and consistency.
Develop the verification infrastructure using state of the art verification methodologies and tools.
Collaborate with architects, designers, pre- and post-silicon verification teams to accomplish your tasks.
What We Need to See:
A Master's Degree (or equivalent experience) in Electrical Engineering, Computer Engineering or Computer Science and at least 3+ years of meaningful work experience or a Bachelor's degree (or equivalent experience) in the same fields with 5+ years of equivalent experience.
Ability to program in C/C++ and automate/write scripts in Perl/Python/shell.
Your work displays a passion for debugging and highlights your strong problem solving abilities.
A strong background in computer architecture and memory models is needed.
Exposure to design and verification tools (VCS, emulation, FPGA, debug tools) is a plus.
Strong communication and interpersonal skills are required along with the ability to operate in a dynamic and distributed team.
Your successful track record of mentoring junior engineers and interns a huge plus.
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most hard-working and talented people in the world working for us. If you're creative and passionate about developing cloud services we want to hear from you!
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new challenges that are hard to tackle, that only we can pursue, and that matter to the world. This is our life’s work, to amplify human creativity and intelligence. We are now looking for a highly motivated Senior System Software Engineer to join this dynamic and innovative Mobile Foundation Software team to craft the new generation mobile and automotive chips
What you'll be doing:
Design, develop and maintenance of pre-silicon simulation platforms
Perform software bring up on hardware simulation platform.
Build system software and tools for mobile product in different development stage.
What we need to see:
BS or MS in EE/CS or equivalent experience
5+ years of industry/academic experience in system software development
Strong C/C++ and Python programming skills
Knowledge of computer architecture concepts - CPU, GPU, System on Chip
Kernel experience with Linux, QNX, Android, Chrome, or Windows systems
Ability and flexibility to work and communicate effectively in a multinational, multi-time-zone corporate environment
Ways to stand out from the crowd:
Hands on experience with hardware/software simulation tools and libraries like Synopsys VDK, SystemC, TLM
Know-how working on operating system kernels or writing device drivers with strong systems-level debugging skills involving hardware, software, and firmware
Experience in performance analysis of complex systems
Deep understanding of systems architecture: CPU, GPU, memory, display, buses, kernel internals would be useful.
Our technology has no boundaries! Nvidia is building the world’s most groundbreaking and state of the art compute platforms for the world to use. It’s because of our work that scientists, researchers and engineers can advance their ideas. At its core, our visual computing technology not only enables an amazing computing experience, but it is also energy efficient! We pioneered a supercharged form of computing loved by the most demanding computer users in the world - scientists, designers, artists, and gamers.
The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 fueled the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing. NVIDIA is a "learning machine" that constantly evolves by adapting to new opportunities that are hard to solve, that only we can tackle, and that matter to the world. This is our life's work to amplify human creativity and intelligence. Make a choice, and join our diverse team today!
The Silicon Solutions Group (SSG) is where innovation meets impact. Our mission is to craft extraordinary GPU and SoC products that power Artificial Intelligence, Gaming, Virtual Reality, Autonomous Vehicles, Enterprise Servers, Visual Computing, Home Entertainment, Datacenters, Servers, Automotive, Embedded Systems, and more. From silicon design to software integration and final deployment, we drive NVIDIA's success in multiple market segments. Here, you'll find a unique opportunity to collaborate across the company, shape the future of technology, and advance your career in a role of significant influence. Our journey begins at the inception of ideas and extends to defining the products. We aim to continually push the boundaries of what's possible and make groundbreaking impacts.
What you'll be doing:
Silicon Bring-up & Validation: Play a central role in the early stages of silicon life by participating in bring-up and post-silicon validation, steering these innovations toward mass production.
Pre-Production Characterization: Apply groundbreaking fabrication processes to characterize pre-production silicon, focusing on speed, performance, power, yield, and overall quality.
PVT Analysis & Tool Development: Understand silicon behavior across Process-Voltage-Temperature (PVT) conditions and employ this knowledge to develop automation tools for characterization, data collection, test execution, and results analysis.
Methodological Innovation: Create methodologies for feature characterization, correlating silicon behavior with simulations, and providing vital design feedback for future enhancements.
Cross-Functional Teamwork: Engage with NVIDIA's brightest minds in various departments, including System Architecture, Product Design Engineering, Application Engineering, and more, to introduce groundbreaking products.
What we need to see:
A Bachelor's or Master's degree in Electrical Engineering, Computer Engineering, Systems Engineering, or a related field, or equivalent experience. Systems Engineering or a related
5+ years of hardware engineering experience, specifically silicon bring-up and characterization.
Proficiency in lab tools (oscilloscopes, multimeters, DAQ) and familiarity with product binning and optimization techniques.
Strong background in programming (Perl, C/C++, Python) and operating systems (Windows, Linux, Android).
Scripting skills are highly valued.
Knowledge in digital design, circuit analysis, computer architecture, and software applications.
Comfortable in a lab environment and demonstrate a passion for the creation, execution, and improvement of silicon validation plans.
Ways to stand out from the crowd:
Demonstrating expertise in statistical data analysis methods.
Showing familiarity with substrate and power supply noise analysis and mitigation techniques.
You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA is looking for Senior Software Engineering to join NVIDIA in the Cumulus Linux team! We present you with an opportunity to be part of the team that develops the Network Operating System that powers data centers that are accelerated, disaggregated and software-defined to meet the exploding growth in AI and high-performance computing. You'll be part of a software development team responsible for defining and implementing core infrastructure services, as well as Reliability, Availability and Serviceability features for Cumulus Linux, the Debian-based operating system for NVIDIA's market-leading Ethernet switches.
What you'll be doing:
Design and develop shared software infrastructure to be used in Cumulus Linux and NVIDIA's portfolio of network operating systems.
Develop and maintain software in Python, C and Shell to control switch devices and system interfaces.
Collaborate with product, architecture, and engineering teams for E2E integration of features into Linux and the Cumulus Linux distribution.
Debug and resolve issues reported by Quality Engineering and customer-facing teams.
Work with upstream communities.
What we need to see:
Master of Science in Electrical Engineering, Computer Science, Computer Engineering or Bachelors (or equivalent experience)
5+ years of proven experience writing enterprise software.
Good knowledge of Linux systems administration, packaging and Linux internals.
Tried experience as a Python developer with experience with different templating frameworks.
Experience using source code management tools, as well as code coverage, unit testing and debugging tools.
Familiar with virtualization and cloud native concepts.
Excellent written and verbal communication and interpersonal skills.
Able to work independently with minimal direction.
Ways to stand out from the crowd:
Strong background in Linux systems and Linux kernel networking
Familiarity with Data Center Networking technologies.
Exposure to CI/CD tools,
Loves to work in a collaborative environment
With competitive salaries and a generous benefits package, we are widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us and, due to unprecedented growth, our exclusive engineering teams are rapidly growing. If you're a creative and autonomous engineer with a real passion for technology, we want to hear from you.
The base salary range is 148,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA is seeking an outstanding Senior ASIC Verification Engineer to verify the design and implementation of the world’s leading SoC's and GPU's. This position offers the opportunity to have a real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of extraordinary people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
What you’ll be doing:
As a Senior Verification Engineer at NVIDIA, you will be responsible for verifying the design, architecture and micro-architecture using advanced verification methodologies
Defining verification scope and driving the development of verification infrastructure, DV strategies and test-planning for memory subsystem units
Collaborate with ASIC designers and architects in deriving feature and micro-architecture specifications
What we need to see:
You have a Bachelors or Masters Degree in Electrical Engineering or Computer Science or Computer Engineering (or equivalent experience)
5+ years of relevant work experience
Knowledgeable in verification using random stimulus along with functional coverage and assertion-based verification methodologies
Experience developing scalable code using Object Oriented Programming principles.
Proficient in verification of design IP with SystemVerilog, advanced methodologies (such as UVM), and design and verification tools (such as VCS or equivalent simulation tools)
Passionate about delivering bug-free first silicon
Ways to stand out from the crowd:
Prior verification experience related to memory subsystem is a huge plus
Familiarity with memory subsystem concepts such as memory consistency models, arbitration policies, encryption algorithm, high-speed IO protocols and/or on-chip interconnect
Strong interpersonal skills
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and talented people in the world working for us. Are you creative and autonomous? Do you love the challenge of crafting the highest performance & lowest power silicon possible? If so, we want to hear from you. Come, join our GPU ASIC team and help build the real-time, cost-effective computing platform driving our success in this exciting and quickly growing field.
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
If you don't have a Malaysian citizenship, but are living in Malaysia or if you are planning to move to Malaysia and are looking for jobs that can sponsor you, it is up to each individual employer on how it handles international candidates. We encourage you to read our blog post to help provide more information: 7 Easy Steps to Find Jobs in Malaysia As A Foreigner.
Have you tried following up? If you did not follow up with an email or phone call, how do you determine if the company received your job application? Enquire where they are in the review process and and then ask if they received your submission. While it would be great to receive a response from the company, sometimes it doesn’t happen. Take the initiative to follow to ensure your application has been received.
The period of the application process will vary, depending on the type of job you are applying for and your previous employment experience.
There are 6 position levels and each level can be associated with a salary range and different types of job titles. Jobs levels can be categorised into: non-executive, fresh/entry level, junior executive, senior executive, manager and senior manager.
Within Jobstore, there are hundreds of variations of jobs. Read through the job descriptions to find out about the typical responsibilities and employers for each job, so you can see what’s involved and who might employ you.