Your Browser is Not Supported
To ensure jobstore run smoothly, please use the latest version of the following supported browsers:
We are now looking for a Senior Hardware Design Engineer for our Tegra group:
NVIDIA is seeking passionate Senior Hardware Design Engineers to architect, design and verify the world’s leading SoC's and GPU's. This position offers the opportunity to have real impact in a multifaceted, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted an outstanding team of people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing. We are a team of hardworking engineers working across the micro-architecture, design, verification, implementation, and post silicon validation of NVIDIA automotive and gaming console chips.
What you’ll be doing:
As a key member of the Design team, you will be responsible for the design and implementation of controllers/IPs for a System-on-Chip.
Build architectural trade-offs based on features, performance requirements and system limitations.
Craft micro-architecture, implement in RTL, and deliver a fully verified, synthesis/timing clean design.
Support post-silicon validation activities working with Silicon characterization and Software teams.
Collaborate and coordinate with architects, other designers, pre- and post-silicon verification teams, synthesis, timing and back-end teams to accomplish your tasks.
What we need to see:
Master’s Degree or equivalent experience in Electrical Engineering Computer Engineering or Computer Science
8+ years of meaningful experience
Experience in micro-architecture and RTL development (Verilog), focused on arbiters, scheduling, synchronization & bus protocols, interconnect networks and/or caches.
Exposure to Digital systems and VLSI design, Computer Architecture, Computer Arithmetic, CMOS transistors and circuits is required
Good understanding of ASIC design flow
Strong interpersonal skills and an excellent teammate
Ways to stand out from the crowd:
Good debugging and analytical skills
Ability to multi-task and handle context changes
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you are creative, autonomous and love a challenge, we want to hear from you.
The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior Package Design Engineer in the Advanced Technology Group (ATG).
NVIDIA's GPUs and SOCs are the world leaders in power, performance and efficiency. We are continually innovating to deliver new and creative solutions to extraordinary problems in a wide range of sectors. To this purpose, we are now seeking a passionate Package Design Engineer who is committed to making a difference in the world through their contributions. You will be responsible for leading package test vehicle designs for all NVIDIA products. These test vehicles lead the way in enabling cutting edge package technologies in NVIDIA products.
What you'll be doing:
Your responsibilities will include defining the chip pad ring, substrate interconnect scheme, and lead the package layout design process for package test vehicles
The focus will be primarily on flip chip and 2.5D interposer based packages
Implement electrical/mechanical/thermal structures in test vehicles for effective package technology evaluations
Develop design flow for new package technologies
Collaborate with cross functional teams to incorporate various requirements in package designs
Work with off-shore fab and package assembly manufacturing partners to develop and implement package design rules
What we need to see:
BS in EE or ME (or equivalent experience)
6+ years package design experience
Strong programming skills (Perl, Python, Tcl desired)
Working knowledge of Cadence Allegro Packaging Design (APD)
Experience in 2.5D packages
Strong problem solving skills
Our technology has no boundaries! NVIDIA is building groundbreaking state of the art compute platforms for the world to use. It’s because of our work that scientists, researchers and engineers can advance their ideas. At its core, our visual computing technology not only enables an amazing computing experience, it is energy efficient! We pioneered a supercharged form of computing loved by the most fast paced computer users in the world - scientists, designers, artists, and gamers.
NVIDIA offers highly competitive salaries and a comprehensive benefits package. We have some of the most brilliant and talented people in the world working for us and, due to unprecedented growth, our world-class engineering teams are growing fast. If you're a creative and autonomous engineer with real passion for technology, we want to hear from you.
The base salary range is 136,000 USD - 264,500 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are looking for a Senior CPU Design Engineer! NVIDIA is seeking best-in-class CPU Design Engineers to design and implement the world’s leading CPU's and SoC's. This position offers you the opportunity to have real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to pursue, that only we can take on, and that matter to the world. We have crafted a team of excellent people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
The NVIDIA CPU team is looking for inquisitive, motivated engineers with experience to build ground-breaking CPUs. As a senior member of our design team, you will be responsible for the design and implementation of high-performance, low power CPU sub-system modules. You will work closely with architects, design engineers, verification engineers, and physical design engineers teams to accomplish your tasks.
What you will be doing:
Drive the micro-architecture development, feasibility studies and documentation and of CPU sub-systems.
Implement in RTL and coordinate execution with the verification team to ensure that the design is functional.
Exercise logic design skills to optimize and meet performance, timing and power targets.
Deliver a synthesis/timing clean design while working with the physical design team ensuring a routable and physically implementable design.
Support hardware engineering activities including chip floor plan, power/clock distribution, chip assembly, timing closure, power and noise analysis, and back-end verification.
Develop flows and tools as necessary in support of design activities.
What we need to see:
BS or MS in electrical engineering or computer engineering (or equivalent experience).
8+ years of proven experience in micro-architecture and RTL development of complex, high speed designs, ideally in CPU subsystems.
Exposure to Computer Architecture and Digital Systems design.
Highly proficient in logic design, Verilog and/or System-Verilog, with a deep understanding of physical design and VLSI.
Strengths in scripting languages such as Perl, Python.
Good communication and interpersonal skills.
You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior Design Verification Engineer!
What you’ll be doing:
What we need to see:
Ways to stand out from the crowd:
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most hard-working and talented people in the world working for us. If you're creative and passionate about developing cloud services we want to hear from you!
The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a motivated Senior Circuit Design Engineer to join our dynamic and growing team. If you are looking for a challenging and exciting role in improving the netlist and timing quality of our designs and if you are a self-starter and highly motivated individual who loves to collaborate and find solutions to hard technical problems, join us today!
NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities which are hard to solve, that only we can pursue, and that matter to the world. This is our life’s work, to amplify human inventiveness and intelligence.
What you’ll be doing:
Participate in cutting edge Processor design in deep submicron technologies.
Work as part of a global circuits team to design innovative circuits (digital, semi-custom and mixed-signal analog) for silicon correlation and hardware security.
Collaborate and work closely with cross-functional teams to improve the performance, reliability and security of Nvidia’s next generation products by performing detailed transistor-level analysis on the design.
Drive the design and physical implementation of digital and/or mixed-signal analog circuit IPs for current and voltage sensing, and common Security attacks detection and protection using custom and automated tools.
Create prototypes of patentable ideas on test chips and drive them to be deployed across the entire line of products.
Be a mentor/technical lead for junior team members.
What we need to see:
BSEE (or equivalent experience)/MS preferred in Electrical or Computer Engineering with 8+ years of experience
Good understanding of deep submicron process issues and circuit design techniques
Familiarity/experience with analog and mixed-signal block design such as op-amp, comparator, DAC, ADC, etc.
Experience with spice simulation for noise analysis, loop stability analysis, ac/dc/transient analysis, monte-carlo, etc
Experience with industry standard design and EDA tools (Cadence Virtuoso, analog circuit simulation tools like HSpice, Spectre, Finesim, etc)
Ways to stand out from the crowd:
Hands on experience in design and analysis of digital and mixed-signal analog current and voltage sensors is a plus
Exposure to security attacks and common detection circuits is a plus
Understanding of digital timing concepts and challenges, knowledge of Place and Route, and understanding of Design-for-test (DFT) is a plus.
Proficiency in scripting language, such as, Perl, Tcl, Make and automation methods/algorithms is a plus.
Prior leadership experience is a plus.
NVIDIA is a pioneer in bringing groundbreaking technology to new markets. We have some of the most forward-thinking and hardworking people in the world working with us. If you're creative and autonomous, we want to hear from you!
The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
The NVIDIA Architecture Modelling group is looking for architects and software developers to join our various architecture efforts. NVIDIA is a leader in the AI Datacenter field, and we are constantly looking for ways to improve our GPU monitoring and management in the data center. In this position, you will be working with other world-class architects on modeling and validating chip architectures, microcontrollers, and server baseboard components. You will work with various software teams to help define and implement a simulation model that enable our data center software teams to left shift.
What you'll be doing:
Modeling of GPU and other baseboard components and features
Work in a matrixed environment, across the different modelling teams, to document, design, develop tools to analyze and simulate, validate, and verify models.
Familiarize with the different models functional and performance that are used at NVIDIA and help with feature implementation as required.
Develop tests, test plans, and testing infrastructure for new architectures/features.
Code coverage analysis and reporting
Learn about newer modelling techniques and frameworks, evaluate the best solution for our needs and work with your manager to drive the change.
Help develop AI based tools to increase efficiency.
What we need to see:
Bachelor’s degree (or equivalent experience) in a relevant discipline (Computer Science, Electrical Engineering or Computer Engineering)
8+ years of meaningful work experience or MS with demonstrated ability or PhD with 2+ years of experience.
Strong programming ability: C++, C along with a good understanding of build systems (CMAKE, make), toolchains (GCC, MSVC) and libraries (STL, BOOST)
Computer Architecture background with experience in modeling with SystemC & TLM preferred.
Familiarity with Synopsys VDK, Docker, Jenkins, Python, Perl
Excellent communication and interpersonal skills and ability to work in a distributed team environment.
NVIDIA’s invention of the GPU 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing — with the GPU acting as the brain of computers, robots, and self-driving cars that can perceive and understand the world. Today, we are increasingly known as “the AI computing company” NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. Do you love the challenge of crafting the highest-performance silicon GPUs and SoCs possible? If so, we want to hear from you! Come, join our Architecture Simulation and Modelling team and help build the real-time, cost-effective computing platform driving our success in this exciting and quickly growing field.
The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
Widely considered to be one of the technology world’s most desirable employers, NVIDIA is an industry leader with groundbreaking developments in High-Performance Computing, Artificial Intelligence and Visualization. The GPU, our invention, serves as the visual cortex of modern computers and is at the heart of our products and services. Our work opens up new universes to explore, enables outstanding creativity and discovery and powers what were once science fiction inventions from artificial intelligence to autonomous cars.
With world-class technology enabling never-been-seen-before performance levels, NVIDIA’s DGX portfolio is arguably the most complicated Server platform ever developed by humans. This product family represents the company’s fastest growing line of business as well as its largest total available market opportunity. We are now looking for a highly motivated engineer to join this dynamic and innovative platform validation team. In this role, you will bring to bear your knowledge of RTL verification to develop and execute innovative verification strategies to deliver our next generation of NVIDIA servers. Come join us and be instrumental in helping our team achieve the functionality, performance, and quality metrics considered best-in-class.
What you will be doing:
Define design processes, tool flows, and verification approaches to deliver bug-free board and system designs.
Board and system level RTL design.
Simulation and emulation of model development for board level digital and mixed signal components.
Pre-silicon verification of PCB designs including connectivity, design rule, and timing checks.
Collaborate with architecture, ASIC, FPGA, software and system design teams to develop end-to-end pre-silicon verification and post-silicon validation environments.
What We Need to See:
BS or MS in Computer or Electrical Engineering (or equivalent experience).
5+ years of proven experience in ASIC or FPGA verification, synthesis, and emulation.
Software programming skill in C/C++.
Automation script development expertise
Design Verification or Emulation/FPGA prototype bring-up experience, preference PCIe/CXL/Ethernet/USB High speed Interface protocols and/or I2C/I3C/SMBUS/SPI/QSPI low speed interface protocols.
Knowledge of BMC/PLDM/SPDM/RoT/Redfish API HW/SW interactions in a server platform debug/bring-up
Excellent verbal, written and presentation communications skills, organizational capabilities, and collaborative interpersonal skills!
With competitive salaries and a generous benefits package, we are widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us and, due to unprecedented growth, our exclusive engineering teams are rapidly growing. If you're a creative and autonomous engineer with a real passion for technology, we want to hear from you!
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are looking for a Senior CPU Design Engineer! NVIDIA is seeking best-in-class CPU Design Engineers to design and implement the world’s leading CPU's and SoC's. This position offers you the opportunity to have real impact in a dynamic, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to pursue, that only we can take on, and that matter to the world. We have crafted a team of excellent people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing.
The NVIDIA CPU team is looking for inquisitive, motivated engineers with experience to build ground-breaking CPUs. As a senior member of our design team, you will be responsible for the design and implementation of high-performance, low power CPU sub-system modules. You will work closely with architects, design engineers, verification engineers, and physical design engineers teams to accomplish your tasks.
What you will be doing:
Drive the micro-architecture development, feasibility studies and documentation and of CPU sub-systems.
Implement in RTL and coordinate execution with the verification team to ensure that the design is functional.
Exercise logic design skills to optimize and meet performance, timing and power targets.
Deliver a synthesis/timing clean design while working with the physical design team ensuring a routable and physically implementable design.
Support hardware engineering activities including chip floor plan, power/clock distribution, chip assembly, timing closure, power and noise analysis, and back-end verification.
Develop flows and tools as necessary in support of design activities.
What we need to see:
BS or MS in electrical engineering or computer engineering (or equivalent experience).
6+ years of proven experience in micro-architecture and RTL development of complex, high speed designs, ideally in CPU subsystems.
Exposure to Computer Architecture and Digital Systems design.
Highly proficient in logic design, Verilog and/or System-Verilog, with a deep understanding of physical design and VLSI.
Strengths in scripting languages such as Perl, Python.
Good communication and interpersonal skills.
With competitive salaries and a generous benefits package, NVIDIA is widely considered to be one of the technology world’s most desirable employers. We employ some of the most forward-thinking and hardworking people in the world. Are you passionate about becoming a part of an outstanding team supporting the latest in CPU technology? If so, we want to hear from you.
You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior GPU Memory Architect.
NVIDIA is seeking a motivated architect to work with a team in solving complex problems while optimizing performance, area, complexity, and power on leading-edge silicon processes. This GPU memory architecture team creates new, innovative products tailored to NVIDIA’s world-changing solutions for data center, autonomous vehicles, AI, gaming, mobile systems.
What you will be doing:
Developing architecture and micro-architecture to improve the state-of-the-art in GPU memory system, Memory management, Virtualization & security optimizing along the axes of performance, power efficiency, complexity, area, effort, and schedule.
Participating in performance simulation of features to improve translation efficiency and access to IO protocols like PCIe.
Implementing and maintaining high-level functional and performance models.
Analyzing benchmarks, application workloads and performance simulation results to identify areas for microarchitecture optimizations.
Improve techniques for data confidentiality within the GPU memory hierarchy by analyzing system security threats and protections for firmware engines within the GPU.
Defining and performing experiments to study the machine in action, presenting experiment results to the larger group and proposing mechanisms for improvement.
Creating architectural specifications and customer-facing documents. Working with partners in the industry to generate specifications which considers software interfaces to the GPU.
Debugging performance and functional issues with high-level models, RTL simulation, silicon & systems.
What we need to see:
Master degree or equivalent experience in Electrical Engineering, Computer Science, Computer Engineering or related field. A PhD with a focus in computer architecture is a plus.
5+ years of meaningful work experience in GPU or CPU Architecture and development specifically in the area interconnects, QoS. Memory hierarchy, Memory model & ordering, multifunction HW accelerator, software, virtualization, and security.
Strong communication and interpersonal skills are required along with the ability to work in a dynamic, product oriented, distributed team. Your history of successfully mentoring junior engineers and interns is a huge plus.
Ways to stand out from the crowd:
Experience with hardware memory management unit, prefetching.
Practical experience with multi-core systems, coherent interconnects & Industry IO protocol like PCIe/CXL, confidential compute, virtualization & security.
Do you desire to be a part of a team of talented engineers developing ground-breaking GPU architectures from specification through implementation to extend the state of the art in GPU performance and functionality? Are you motivated to solve complex problems while optimizing performance, area, complexity, and power? If so, our GPU memory architecture group is looking for you. With competitive salaries and a generous benefits package, NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us and, due to unprecedented market opportunities, our best-in-class engineering teams are rapidly growing. If you're a creative and autonomous engineer with a real passion for computer architecture and technology, we want to hear from you!
The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA’s CPU group is seeking for a passionate power architect to drive the CPU power optimization during the entire design cycle and ensure that silicon power meets the power target. You will be responsible for estimating CPU power from early stages of projects using different tools, optimizing power by collaborating with multiple teams, and examining and ensuring that CPU silicon power meet the pre-silicon target. We are searching for a hard-working, highly motivated engineer who has both strong technical skills as well as excellent personal skills. It will be a key role to make NVIDIA’s CPUs achieve the best performance at Watt efficiency in the industry!
What you’ll be doing:
Estimate CPU power at different levels such as C model, RTL, and netlist during design phases, identify power inefficiencies in the design, and drive the power optimization with architects, RTL designers, and physical design teams.
Measure CPU power and performance on silicon, analyze, and correlate silicon power data with pre-silicon power estimation.
Design CPU power tests such as CPU peak power test and di/dt tests
What we need to see:
BS/MS in EE, CE, or CS or equivalent experience.
5+ years of experience working in ASIC power measurement and optimization.
Solid fundamental knowledge about power including transistor-level leakage/dynamic characteristics of VLSI circuits.
Proficient with lab equipment for silicon power measurement such as DAQ. Able to analyze board level power issues.
Experience with RTL and netlist power analysis tools such as Power Artist/PTPX.
Ways to stand out from the crowd:
Strong programming skills - Python preferred.
Deep understanding of computer architecture.
Good communication skills and desire to work as a team player.
NVIDIA pioneered accelerated computing to tackle challenges no one else can solve. Our work in AI and the metaverse is profoundly impacting society and transforming the world’s largest industries—from gaming to robotics, self-driving cars to life-saving healthcare, climate change to virtual worlds where we can all connect and create. NVIDIA recently entered the CPU server market with its Grace CPU superchip. Additionally, NVIDIA's GPUs and SOCs are world leaders in performance and efficiency and rely on CPU cores to efficiently orchestrate the system. The NVIDIA CPU architecture team builds new, innovative products tailored to cooperate with other technologies to tackle ground-breaking challenges.
The base salary range is 148,000 USD - 276,000 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior ASIC Design Engineer.
NVIDIA is seeking ASIC Design Engineers to implement the world’s leading SoC's and GPU's. This position offers the opportunity to have real impact in a multifaceted, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of outstanding people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing!
What you'll be doing:
As a key member of the GPU Design team, you will implement, document and deliver high performance, area and power efficient RTL to achieve design targets and specifications.
Analyze architectural trade-offs based on features, performance requirements and system limitations.
Craft micro-architecture, implement in RTL, and deliver a fully verified, synthesis/timing clean design.
Collaborate and coordinate with architects, other designers, pre- and post-silicon verification teams, synthesis, timing and back-end teams to accomplish your tasks.
Work on a broad list of IPs such as GPU's work scheduler, time distribution system, interrupt controllers, and DMA engines.
Architect features to help silicon debug and support post-silicon validation activities.
What we need to see:
Bachelors Degree or equivalent experience in Electrical Engineering, Computer Engineering or Computer Science.
8+ years of meaningful work experience.
Experience in micro-architecture and RTL development (Verilog), focused on arbiters, scheduling, synchronization & bus protocols, interconnect networks and/or caches.
Great understanding of ASIC design flow including RTL design, verification, logic synthesis and timing analysis.
Exposure to Digital systems and VLSI design, Computer Architecture, and Computer Arithmetic is required.
Strong interpersonal skills and an excellent teammate.
Ways to stand out from the crowd:
Strong C/C++, Python or Perl skills.
Good debugging and analytical skills.
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you are creative, autonomous and love a challenge, we want to hear from you.
The base salary range is 164,000 USD - 304,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior ASIC Design Engineer.
NVIDIA is seeking ASIC Design Engineers to implement the world’s leading SoC's and GPU's. This position offers the opportunity to have real impact in a multifaceted, technology-focused company impacting product lines ranging from consumer graphics to self-driving cars and the growing field of artificial intelligence. We have crafted a team of outstanding people stretching around the globe, whose mission is to push the frontiers of what is possible today and define the platform for the future of computing!
What you'll be doing:
As a key member of the GPU Design team, you will document, execute, and deliver fully verified, high performance, area and power efficient RTL to achieve the design targets and specifications.
Analyze architectural trade-offs based on features, performance requirements and system limitations.
Craft micro-architecture, implement in RTL, and deliver a fully verified, synthesis/timing clean design.
Support post-silicon validation activities.
Collaborate and coordinate with architects, other designers, pre- and post-silicon verification teams, synthesis, timing and back-end teams to accomplish your tasks.
What we need to see:
Bachelors Degree or equivalent experience in Electrical Engineering, Computer Engineering or Computer Science.
5+ years of meaningful work experience.
Experience in micro-architecture and RTL development (Verilog), focused on arbiters, scheduling, synchronization & bus protocols, interconnect networks and/or caches.
Great understanding of ASIC design flow including RTL design, verification, logic synthesis and timing analysis.
Exposure to Digital systems and VLSI design, Computer Architecture, and Computer Arithmetic is required.
Strong interpersonal skills and an excellent teammate.
Ways to stand out from the crowd:
Strong C/C++, Python or Perl skills.
Good debugging and analytical skills.
NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you are creative, autonomous and love a challenge, we want to hear from you.
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior GPU Memory Architect.
NVIDIA is seeking a motivated architect to work with a team in solving complex problems while optimizing performance, area, complexity, and power on leading-edge silicon processes. This GPU memory architecture team creates new, innovative products tailored to NVIDIA’s world-changing solutions for autonomous vehicles, AI, gaming, mobile systems.
What you will be doing:
Developing architecture and micro-architecture to improve the state-of-the-art in GPU memory system and memory management optimizing along the axes of performance, power efficiency, complexity, area, effort, and schedule.
Participating in performance simulation of features to improve memory access efficiency.
Implementing and maintaining high-level functional and performance models.
Analyzing benchmarks, application workloads and performance simulation results to identify areas for microarchitecture optimizations.
Defining and performing experiments to study the machine in action, presenting experiment results to the larger group and proposing mechanisms for improvement.
Creating architectural specifications and customer-facing documents. Working with partners in the industry to generate specifications which take into account software interfaces to the GPU.
Debugging performance and functional issues with high-level models, RTL simulation, and silicon.
What we need to see:
Master degree or equivalent experience in Electrical Engineering, Computer Science, Computer Engineering or related field. A PhD with a focus in computer architecture is a plus.
6+ years of meaningful work experience in GPU or CPU Architecture and development specifically in the area of memory caching and interconnects.
Strong communication and interpersonal skills are required along with the ability to work in a dynamic, product oriented, distributed team. Your history of successfully mentoring junior engineers and interns is a huge plus.
Ways to stand out from the crowd:
Experience with hardware memory management unit, prefetching, or memory subsystems.
Practical experience with multi-core systems and memory coherency.
Do you desire to be a part of a team of talented engineers developing ground-breaking GPU architectures from specification through implementation to extend the state of the art in GPU performance and functionality? Are you motivated to solve complex problems while optimizing performance, area, complexity, and power? If so, our GPU memory architecture group is looking for you. With competitive salaries and a generous benefits package, NVIDIA is widely considered to be one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us and, due to unprecedented market opportunities, our best-in-class engineering teams are rapidly growing. If you're a creative and autonomous engineer with a real passion for computer architecture and technology, we want to hear from you!
The base salary range is 180,000 USD - 339,250 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
NVIDIA is looking for top Senior ASIC Design Engineers to join our world class System-On-Chip engineering team, developing the industry's most complicated GPUs with applications in many market segments. Come and take a part in crafting our groundbreaking and innovating chips. Enjoy working in a meaningful, growing and professional environment where you make a broad impact in a first class company aimed at changing the world!
What you will be doing:
Be an integral part of the team defining and developing highly configurable internal EDA software
Define, develop, and automate flows and methodologies to efficiently build, deploy, and verify generated RTL
Verify the generated code in multiple environments (functional/UVM, power, area, RTL checks like lint and CDC)
Work with EDA vendor tools (Synopsys) as well as industry standard scripting languages (Perl, Make, Python)
Interface directly with unit-level, Physical Design, CAD, Package Design, Software, DFT and other teams
What we need to see:
MS in Electrical or Computer Engineering with 4+ years of VLSI design experience, or BS (or equivalent experience) with 6+ years of experience
Good understanding of SOC architecture (e.g., CDC, multiple-power domains, performance analysis, latency, and data flow)
RTL Design experience in Verilog and/or SystemVerilog
Excellent debugging and analytical skills
Exposure to design and verification tools (dc_shell or equivalent synthesis tools, VCS or equivalent simulation tools, debug tools like Debussy, GDB)
Expertise in developing and implementing automated solutions within the ASIC flow
Strong coding skills in Perl or other industry-standard scripting languages
NVIDIA is widely considered to be one of the technology world’s most desirable employers. And due to outstanding growth, our teams are rapidly growing. Are you passionate about becoming a part of a best-in-class team supporting the latest in GPU and AI technology? If so, we want to hear from you!
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.
We are now looking for a Senior Physical Design Engineer. NVIDIA has continuously reinvented itself over two decades. Our invention of the GPU in 1999 sparked the growth of the PC gaming market, redefined modern computer graphics, and revolutionized parallel computing. More recently, GPU deep learning ignited modern AI — the next era of computing. NVIDIA is a “learning machine” that constantly evolves by adapting to new opportunities that are hard to solve, that only we can tackle, and that matter to the world. This is our life’s work, to amplify human imagination and intelligence. Make the choice to join our diverse team today.
What you'll be doing:
Responsible for all aspects of physical design and implementation of GPU and other ASICs targeted at the desktop, laptop, workstation, and mobile markets.
As a member of a team, we will all participate in establishing physical design methodologies, flow automation, chip floorplan, power/clock distribution, chip assembly and P&R, timing closure.
Craft designs for static timing analysis, power and noise analysis and back-end verification.
What we need to see:
BSEE (MSEE preferred) or equivalent experience.
5+ years of experience in large VLSI physical design implementation on 5nm, 4nm and 3nm technology.
Your successful track record of delivering designs to production is a requirement.
Shown experience in the following areas: Power, Performance and Area improvement Initiatives is a plus.
Already a validated strong power user of P&R, Timing analysis, Physical Verification and IR Drop Analysis CAD tools from Synopsys (ICC2/DC/PT/STAR-RC/ICV),Cadence (Innovus, Tempus, SeaHawk ) and Mentor Graphics.
Deep understanding of custom macro blocks such as RAMs, CAMs, high-speed IO drivers, PLLs.
Confirmed prior experience in timing closure, clock/power distribution and analysis, RC extraction and correlation, place/ route and tapeout solutions.
To be successful you should possess strong analytical and debugging skills required.
Proficiency using Python, Perl, Tcl, Make scripting is helpful.
NVIDIA is widely considered to be the leader of AI computing, and one of the technology world’s most desirable employers. We have some of the most forward-thinking and hardworking people in the world working for us. If you're creative and autonomous, we want to hear from you.
The base salary range is 128,000 USD - 258,750 USD. Your base salary will be determined based on your location, experience, and the pay of employees in similar positions.You will also be eligible for equity and benefits. NVIDIA accepts applications on an ongoing basis.
Official account of Jobstore.